Sublime Text3配置Verilog语法环境的方法


这篇文章主要介绍了Sublime Text3配置Verilog语法环境的方法,具有一定借鉴价值,感兴趣的朋友可以参考下,希望大家阅读完这篇文章之后大有收获,下面让小编带着大家一起了解一下。方法一:1.下载安装完软件2.安装Package Control插件默认没有安装,需要手动安装:按ctrl~调出控制台,在其中黏贴以下命令:3.安装完成后重启4.安装汉化插件:(1)ctrl+shift+p弹出搜索框输入install(2)点击第一条输入ChineseLocalizations,点击安装即可(3)在菜单栏help中找到language设置即可5.安装verilog插件:ctrl+shift+p弹出搜索框输入install点击第一条输入veri开发云主机域名log,安装即可在菜单栏—视图—语法中即可看到方法二:1.下载插件:链接:https://pan.baidu.com/s/1RcFqrUYxgblK-opuHDiOTw提取码:2pi22.将文件复制到 C:Users你的用户名AppDataRoamingSublime Text 3PackagesUser 中,重启即可感谢你能够认真阅读完这篇文章,希望小编分享的“Sublime Text3配置Verilog语法环境的方法”这篇文章对大家有帮助,同时也希望大家多多支持开发云,关注开发云行业资讯频道,更多相关知识等着你来学习!

相关推荐: 27个适用于JavaScript开发的VSCode工具

这篇文章主要介绍27个适用于JavaScript开发的VS开发云主机域名Code工具,文中介绍的非常详细,具有一定的参考价值,感兴趣的小伙伴们一定要看完!Visual Studio Code(也称为VSCode)是一种轻量级但功能强大的跨平台源代码编辑器, 借…

免责声明:本站发布的图片视频文字,以转载和分享为主,文章观点不代表本站立场,本站不承担相关法律责任;如果涉及侵权请联系邮箱:360163164@qq.com举报,并提供相关证据,经查实将立刻删除涉嫌侵权内容。

(0)
打赏 微信扫一扫 微信扫一扫
上一篇 05/10 21:05
下一篇 05/10 21:05